blob: 873427db6970e1bb3641e3b132b37fd03a3d9a5c [file] [log] [blame]
module a
end
program b
use a
end