blob: 4c804b3b6f4da41f8c9e3ede966dc643bc9499b2 [file] [log] [blame]
extern crate staticlib;
use staticlib::func;
fn main() {
assert_eq!(42, func());
}